Alexandru Nicolau - Selected Publications#


1. CAMFAS: A Compiler Approach to Mitigate Fault Attacks via Enhanced SIMDization. (Zhi Chen, Junjie Shen, Alex Nicolau, Alexander V. Veidenbaum, Nahid Farhady Ghalaty, Rosario Cammarota) FDTC 2017: 57-64.

2. LORE: A Loop Repository for the Evaluation of Compilers (Z Chen, Z Gong, JJ Szaday, DC Wong, D Padua, A Nicolau & al) IEEE International Symposium on Workload Characterization (IISWC), 219-228, 2017.

3. Instruction Level Parallelism (Alex Aiken, Utpal Banerjee, Arun Kejariwal, Alex Nicolau) Springer US, hardcover edition, 2016, ISBN: 978-1-4899-7795-3.

4. NSF expedition on variability-aware software: Recent results and contributions
(L Wanner, L Lai, A Rahimi, M Gottscho, P Mercati, CH Huang, F Sala, ...)
it-Information Technology 57 (3), 181-198, De Gruyter Oldenbourg Publisher, 2015.

5. A configurable simulation environment for the efficient simulation of large-scale spiking neural networks on graphics processors (JM Nageswaran, N Dutt, JL Krichmar, A Nicolau, AV Veidenbaum) Neural Networks 22 (5-6), 791-800, 2009.

6. EXPRESSION: A language for architecture exploration through compiler/simulator retargetability
(A Halambi, P Grun, V Ganesh, A Khare, N Dutt, A Nicolau) Design, Automation, and Test in Europe, The most Influential papers of 10 years of DATE 31-45, 2008.

7. Parallel processing: A smart compiler and a dumb machine (JA Fisher, JR Ellis, JC Ruttenberg, A Nicolau) ACM PLDI Sigplan Notices 19 (6), 37-47, 1984, revised and expanded in the "20 years of PLDI: 50 most influential papers" Volume, ACM press 2004.

8. SPARK: A high-level synthesis framework for applying parallelizing compiler transformations
(S Gupta, N Dutt, R Gupta, A Nicolau) VLSI Design, 2003. Proceedings. 16th International Conference on, 461-466, 2003.

9. Automatic program parallelization (U Banerjee, R Eigenmann, A Nicolau, D Padua) Proceedings of the IEEE 81 (2), 211-243, 1993.

10. Optimal loop parallelization (A Aiken, A Nicolau) ACM PLDI SIGPLAN Notices 23 (7), 308-317, 1988.

11. Measuring the parallelism available for very long instruction word architectures
(A Nicolau, JA Fisher) IEEE Transactions on Computers 33 (11), 968-976, 1984.


Professor Nicolau's H-index (Google Scholar) is: 59, with 11921 citations).

Imprint Privacy policy « This page (revision-4) was last changed on Saturday, 22. September 2018, 10:28 by System
  • operated by