!!Per Stenström - Major publications
\\
[List of publications|stenstroem_per_CV_publications.pdf]
\\ \\
*P. Stenstrom, T. Joe, and A. Gupta. Comparative Performance Evaluation of Cache-Coherent NUMA and COMA Architectures, in ''Proc. of 19th IEEE/ACM Ann.  International Symposium on Computer Architecture'', pp. 80-91, May 1992
*P. Stenstrom, M. Brorsson, and L. Sandberg. An Adaptive Cache Coherence Protocol Optimized for Migratory Sharing, in ''Proc. of 20th IEEE/ACM Ann. Int. Symp. on Computer Architecture'', pp. 109-118, May 1993. 
*H. Grahn and P. Stenstrom. Efficient Strategies for Software-Only Directory Protocols in Shared-Memory Multiprocessors, in ''Proc. of 22nd IEEE/ACM  Ann. Int. Symp. on Computer Architecture'', pp. 38-47, June 1995. 
*H. Grahn, P. Stenstrom, and M. Dubois. Implementation and Evaluation of Update-Based Cache Protocols Under Relaxed Memory Consistency Models, in ''Future Generation Computer Systems'', Vol. 11, No. 3, pp. 247-271, June 1995.
*F. Dahlgren, M. Dubois, and P. Stenstrom, "Sequential Hardware Prefetching in Shared-memory Multiprocessors," ''IEEE Trans. on Parallel and Distributed Systems'', Vol. 6, No. 7, pp.733-746, July 1995 
*M. Karlsson and P. Stenstrom. Effectiveness of Dynamic Prefetching in Multiple-Writer Shared Virtual-Memory Systems. In journal of ''JPDC''. 1997.
*T. Lundqvist and P. Stenstrom. Timing Anomalies in Dynamically Scheduled Processors, in ''Proc. of 1999 IEEE Real-Time Systems Symposium'', pp. 12-21, Dec. 1999.
*T. Lundqvist and P Stenstrom An Integrated Path and Timing Analysis Method based on Cycle-Level Symbolic Execution”. In ''J. of Real-Time Systems''.,Vol. 17 (2/3), pp. 183-207, November 1999
*A. Saulsbury, F. Dahlgren, and P. Stenstrom. Recency-Based TLB Preloading” in ''27th IEEE/ACM Int. Symp. on  Computer Architecture (ISCA-27)'', pp. 117-127, 2000.
*Fredrik Warg and Per Stenstrom: Limits on Module-level Speculative Parallelism. 10th IEEE ''PACT'', 2001.
*Magnus Ekman and Per Stenstrom: A Robust Memory Compression Scheme. In the ''32nd IEEE/ACM Ann. Int. Symposium on Computer Architecture''. Madison, pp. 74-85 June, 2005
*H. Dybdahl and P. Stenstrom, An Adaptive Shared/Private NUCA Partitioning Scheme for Multiprocessors. In ''HPCA'', 2007.